实验五ADC0809采样控制电路的实现

上传:毛豆有毛没豆 浏览: 20 推荐: 0 文件:WPS 大小:249.5KB 上传时间:2019-01-14 01:07:06 版权申诉
破解软件 2、新建工程 3、程序输入及编译 新建文件并输入程序并进行编译。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY EX14 IS PORT ( din : IN STD_LOGIC_VECTOR(7 DOWNTO 0); -- IO55..IO48 (P13, P12, P10, P9 , P8 , P137, P136, P135) clk : IN STD_LOGIC; -- clock input for state -- IO30(P125) q : OUT STD_
上传资源
用户评论