vhdl基于秒表设计

上传:流浪器 浏览: 37 推荐: 0 文件:DOC 大小:133.5KB 上传时间:2018-12-07 17:10:42 版权申诉
应用VHDL语言设计数字系统,很多设计工作可以在计算机上完成,从而缩短了数字系统的开发时间。我们尝试利用VHDL为开发工具设计数字秒表。

vhdl基于秒表设计

vhdl基于秒表设计

vhdl基于秒表设计

上传资源
用户评论

传奇韩大宝 2018-12-07 17:10:42

还行吧,各个部分都有。

Ivan-杨杨兮 2018-12-07 17:10:42

论文 还不错 可以参考用

emma13007 2018-12-07 17:10:42

内容挺全的 对初学者写论文来说有用处