基于FPGA的函数信号发生器设计

上传:RENJIULIAN 浏览: 18 推荐: 0 文件:DOC 大小:898.19KB 上传时间:2020-01-12 14:57:55 版权申诉
基于FPGA的函数信号发生器设计完整的论文。可以直接拿来使用参考
上传资源
用户评论
相关推荐
基于FPGA函数信号发生器
基于FPGA的多功能函数信号发生器,很不错的资源
PDF
0B
2019-05-02 21:37
基于fpga函数信号发生器
关于函数信号发生器的毕业设计论文 函数信号发生器是各种测试和实验过程中不可缺少的工具,在通信、测量、雷达、控制、教学等领域应用十分广泛
DOC
0B
2019-03-05 08:11
基于FPGA函数信号发生器设计与实现
学习如何基于FPGA函数信号发生器的设计与实现
PDF
0B
2019-01-22 20:27
基于DDS和FPGA函数信号发生器设计
这个一个基于DDS技术的FPGA函数信号发神器设计程序。 里面包含了 正弦波、三角波、方波、2ASK、2PSK信号的产生。 频率输出精度优于10-5。 程序设计清晰简单,适合初学者使用借鉴。 开发平台
ZIP
0B
2018-12-07 12:31
基于FPGADDS函数信号发生器
函数信号发生器是各种测试和实验过程中不可缺少的工具,在通信、测量、雷达、控制、教学等领域应用十分广泛。随着我国经济和科技的发展,对相应的测试仪器和测试手段也提出了更高的要求,信号发生器己成为测试仪器中
DOC
0B
2019-04-10 15:41
FPGA函数信号发生器设计报告
FPGA的DDS函数信号发生器设计报告比较完整,可以直接使用
DOC
0B
2020-04-26 18:14
基于fpgavhdl课程设计_函数信号发生器
Vpdl course design based on fpga_function signal generator
rar
0B
2019-06-21 19:14
基于FPGA三相函数信号发生器设计
本文介绍的基于FPGA的数字式三相信号发生器,精度较高,移相控制方便,实现频率为1 Hz~10 MHz、幅度0.1~10 V,分辨率为1°,频率和幅度的调节均可程控的三相函数信号发生器。系统还具有输出
PDF
86KB
2020-08-09 02:49
基于FPGA信号发生器设计
基于FPGA的信号发生器设计基于FPGA的信号发生器设计基于FPGA的信号发生器设计
PDF
0B
2019-05-01 02:34
基于FPGA信号发生器设计
基于FPGA信号发生器的设计,本人的论文,用了一个月写出的,
DOC
0B
2020-05-26 10:43
FPGA函数信号发生器
基于FPGA开发的函数发生器,以IP核调用的方式可以实现四路正弦波、余弦波、混频波、方波、扫频信号生成,满足日常测试需要,经过多方验证,系统可靠,稳定性好,代码公开。有需要的可以下载了解,有问题的可以
RAR
0B
2019-07-20 18:00
基于FPGA函数发生器设计
学期作业用VHDL语言设计的函数信号发生器
DOC
0B
2018-12-07 16:00
基于FPGA信号函数发生器代码verilog
基于FPGA的信号函数发生器代码(verilog),采用DDS合成技术,并做VGA显示
RAR
0B
2019-05-14 17:08
基于FPGA信号发生器设计
要介绍了直接数字频率合成(DDS)的组成及其工作原理,给出了基于Ahera公司的FPGA实现多波形信号发生器的设计过程和电路结构。设计在Quartusl!软件中完成,并给出了仿真波形。该设计用FPGA
PDF
0B
2018-12-20 11:18
基于FPGADDS信号发生器设计
基于xilinx公司的FPGA,设计了一套DDS信号发生器,产生正弦波方波三角波锯齿波四种波形,并且波形频率可调
RAR
0B
2019-04-26 20:58