基于NIOSII处理器的数字钟设计(Verilog DHL 代码)

上传:Xiao辉 浏览: 21 推荐: 0 文件:RAR 大小:369.76KB 上传时间:2020-01-24 04:02:00 版权申诉
基于NIOSII处理器的数字钟设计(VerilogDHL代码)
上传资源
用户评论

photograph_35371 2020-01-24 04:02:00

谢谢楼主,这工程虽然在在我的版本不兼容,但是还是有很好的学习意义

相关推荐
基于NIOSII处理器数字钟设计
该程序是基于FPGA中的NIOSII开发的一个数字电子钟的程序,代码很好,测试通过,欢迎大家下载。
RAR
0B
2019-05-15 23:48
Verilog DHL
VerilogDHL语义描述微电子专业注意了
pdf文档
0B
2019-09-05 10:03
基于NiosII数字钟设计实例
很好的基于NiosII CPU的设计范例,数字钟在设计中也十分实用。
RAR
370KB
2020-07-28 02:07
基于Verilog数字钟设计
基于Altera公司的FPGA设计,时分秒可调的数字钟
RAR
0B
2019-01-17 11:33
基于verilog数字钟
一个基于verilog的数字钟程序,用xilinx的basys2开发板
RAR
0B
2018-12-08 02:10
Verilog数字钟代码
数字钟有闹钟、时间设定、秒表等功能,Verilog编写,分配引脚后可直接使用
ZIP
0B
2019-03-28 08:43
数字钟Verilog设计
数字钟 Verilog 硬件语言设计 包括设时,定时,闹铃等功能 可在quartus II仿真实现。
DOC
0B
2019-03-12 19:34
基于verilog系统数字钟
能够正常走时,可调时,闹钟功能。 软件仿真平台maxstart
zip
0B
2019-03-02 00:27
基于Verilog语言数字钟
Verilog语言设计数字钟,具有闹钟,校准,整点报时功能
V
0B
2019-06-04 09:56
基于verilogfpga数字钟
l、能进行正常的时、分、秒计时功能,分别由6个数码显示24小时、60分钟的计数器显示。 2、能利用实验系统上的按钮实现“校时”、“校分”功能; (1)按下“SA”键时,计时器迅速递增,并按24小时循环
zip
0B
2019-01-07 18:09
基于verilog数字钟实现
该程序实现了基本的数字钟的显示功能。并且也实现了基本的清零功能。其他的调时,报时功能只要在程序中添加简单代码即可实现。
RAR
0B
2019-07-07 01:27
基于Verilog HDL设计多功能数字钟
基于Verilog HDL设计的多功能数字钟
PDF
122.09 KB
2019-06-04 09:56
基于NiosII处理器TFT LCD图形显示设计
主要阐述了以Altera公司的FPGA为核心的基于NiosII软核的嵌入式LCD图形显示设计方法。从系统的角度提出在LCD上显示图形的设计过程,给出搭建NiosII软核的系统整体结构图,并最终实现了图
PDF
212KB
2020-10-27 16:47
基于NIOSII处理器“Hello LED”程序设计
基于NIOSII处理器的“Hello LED”程序设计本节旨在通过给定的工程实例——“Hello LED”来熟悉Altera软嵌入式系统的软硬件设计方法。同时使用基于Altera FPGA的开发板将该
rar
341.777 KB
2022-12-06 17:27
基于NiosII处理器总线架构SD卡设计
基于NiosII处理器的总线架构的SD卡设计,SD存储卡以其大容量和小尺寸的特点,成为市面上各种嵌入式消费产品最常见的存储媒介,探讨SD卡设备的设计具有广泛的应用价值。这里将结合NiosII处理器的总
PDF
0B
2020-10-27 20:49