用verilog 控制的交通灯程序

上传:bluecreator 浏览: 11 推荐: 0 文件:TXT 大小:4.44KB 上传时间:2020-05-13 21:28:31 版权申诉
这是我学verilog的时候编的,感觉效果不错,可以控制交通灯方向和颜色,
上传资源
用户评论
相关推荐
verilog编写交通灯控制
用verilog编写实现交通灯的控制 实现红绿灯转换 并且用数码管进行计数 对于刚刚入门的人是个很好的选择 因为代码比较简单
RAR
496KB
2020-10-07 11:41
verilog编写交通灯控制程序
用verilog编写的交通灯控制程序,学习fpga入门的好东西!
RAR
0B
2019-01-18 15:17
Verilog交通灯控制程序
Verilog交通灯控制程序,内含文档,简单方便交通灯控制器
DOC
0B
2019-07-19 10:12
verilog交通灯控制
基于verilog的交通信号灯控制,CLK:为同步时钟;EN:使能信号,为1的话,则控制器开始工作;LAMPA:控制A方向四盏灯的亮灭;其中,LAMPA0~LAMPA3,分别控制A方向的左拐灯、绿灯、
DOC
0B
2019-05-16 06:21
verilog实现交通灯
这是用verilog实现交通灯的常规功能
RAR
0B
2019-07-08 16:09
基于verilog交通灯程序
基于verilog的交通灯程序,课程设计的时候绝对可以用得到。
DOC
24KB
2020-08-18 21:26
verilog语言编写交通灯控制器实现.rar
(1)交通灯控制器的设计要求及其思路.doc//给出了本交通灯的设计指标和详细设计思路,给修改代码的朋友一定的参考;(2)文件夹:traffic//包含详细代码和版图模式,相信能给您带来帮助。
RAR
0B
2019-05-28 15:18
verilog语言编写交通灯FSM
非常详细的,能够被ISE14版本编译,包括代码以及测试代码
RAR
0B
2020-05-13 21:28
交通灯控制模块verilog语言
用Verilog语言描述交通灯控制,包括工程文件,可在FPGA上硬件实现
ZIP
0B
2020-05-13 21:28
交通灯程序verilog语言
用verilog语言实现的交通灯控制程序,可以实现交通灯的简单控制
TXT
2KB
2020-08-24 03:11
verilog实现交通灯控制系统
实现交通灯控制的ISE文件,内含实现代码和仿真代码
RAR
0B
2019-01-22 17:27
模拟交通灯实验verilog程序
模拟交通灯实验程序实现的是模拟十字路口的交通灯自动控制系统。开始南北走向通行,即南北绿灯亮、东西红灯亮;然后转到南北黄灯亮、东西红灯亮以及南北红灯亮、东西黄灯亮的延时状态;最后进入东西走向通行,即东西
RAR
0B
2019-04-27 04:39
Verilog代码编写PID控制
用Verilog代码编写的PID控制,适用于FPGA中,资源保证真实,大家快快下载
其他文档
0B
2019-05-13 23:59
基于FPGAVerilog编写交通灯程序
本人亲自用实验箱实现过,稍微看下代码再加以自己的理解即可在实验箱上做出来,非常清晰明了的思路,希望大家喜欢
RAR
0B
2019-07-19 10:11
verilog交通灯
verilog交通灯代码基础性 源代码 可根据自身需要进行改进
TXT
0B
2019-01-22 17:19