VGA显示实验:显示字

上传:a95845 浏览: 27 推荐: 0 文件:RAR 大小:591.36KB 上传时间:2020-05-26 10:49:06 版权申诉
用verilog编写实现VGA汉字显示对于刚刚了解的人非常好理解本人运行过可以实现
上传资源
用户评论

x19563 2020-05-26 10:49:06

刚好是verilog 很适用

kathlord 2020-05-26 10:49:06

代码很好,只可惜是用verlog写的。而不是用VDHL写的。

invisible4214 2020-05-26 10:49:06

很好,只可惜是用verlog写的

相关推荐
vga显示实验
vga汉字显示介绍,eda基于fpga
PDF
0B
2019-05-15 02:30
vga显示实验代码
Vga shows the experiment code
TXT
0B
2019-06-22 23:01
VGA显示RGB彩条实验
VGA显示colourbar实验
7Z
0B
2019-07-29 05:05
实验十八.VGA彩色信号显示
实验十八.VGA彩色信号显示实验十八.VGA彩色信号显示实验十八.VGA彩色信号显示
RAR
0B
2019-07-08 06:01
vhdl实现VGA显示vga显示资料
vhdl实现VGA显示和vga显示资料,程序已经测试通过
rar
0B
2019-05-03 19:19
vga显示
用DE2开发板实现在显示器左上角显示汉字“好”
RAR
0B
2019-03-03 18:52
VGA显示verilog
XilinxSpartan3E运行的VGA显示显示条形和方格
V
0B
2019-05-13 16:02
VGA彩条显示
VGA彩条显示verilogHDL代码
ZIP
0B
2019-05-15 02:30
VGA图像显示
采用FPGA技术,在Spartan-3e开发板上用VHDL语言进行编程来实现功能,并且外接VGA端口连接到显示屏,可调节图像的色彩
0B
2019-02-25 15:33
VGA显示程序
fpga开发,用verilog编写的vga显示程序
RAR
0B
2019-01-02 20:16
VGA显示驱动
VGA显示驱动,verilog代码,直接就可以用了。
ZIP
0B
2019-01-02 20:17
VGA显示汉字
VGA接口显示器显示汉字设计,能够显示汉字,含有程序已做成报告!
DOCX
0B
2019-01-02 20:17
FPGA VGA显示
FPGA VGA display
V
0B
2019-06-23 16:06
VGA动画显示
用于vga的显示,通过对不同的图片显示形成动画
ZIP
0B
2019-01-18 20:16
VGA显示图片
想着在此基础上通过VGA显示器显示一张图片,利用FPGA内部ROM存储图片数据,然后通过控制读取数据地址将图片数据传给VGA驱动模块,从而将每个图片数据显示在对应的像素点上。
ZIP
0B
2020-06-12 08:17