信号频率测量系统 Verilog

上传:cjt0271 浏览: 10 推荐: 0 文件:RAR 大小:6.66MB 上传时间:2020-08-11 04:43:37 版权申诉
和朋友一起写的,在DE1上用过,测1-1mhz频率,3hz以下不太准确
上传资源
用户评论
相关推荐
频率测量Verilog代码
Verilog代码描述如何精确测量方波的频率,代码注释非常详细。
doc
0B
2019-05-25 10:06
verilog实现高精度频率测量
使用Verilog实现了高精度的电平宽度测量,可以进行高低电平的持续测量,测量精度为一个工作时钟周期。
V
0B
2019-05-04 12:53
verilog频率
verilog测频率,已仿真,注释具体,结构简单
V
0B
2019-07-24 18:51
利用fpga测量输入信号频率大小
Verilog测频程序可以实现从10k到1m频率的测量,注释里写的都很清楚
V
0B
2019-05-13 07:52
基于STM32的信号频率测量
利用STM32F1主控芯片,实现对信号为10HZ到15KHZ内的信号频率进行测量,该文档包含详细的硬件电路,MULTISIM仿真电路和代码
RAR
0B
2019-03-29 03:25
测量正弦信号的幅值和频率
可以通过c8051f120单片机测量正弦信号的幅值和频率
RAR
0B
2019-05-25 10:07
MSP430测量信号频率源代码
该代码利用MSP430单片机测量信号的频率,测量频率范围广,精度高,可作为建简易的频率计。
RAR
0B
2018-12-20 03:10
频率verilog1Hz到30MHz的频率测量
利用verilog语言实现1到30MHz的频率测量
ZIP
0B
2019-05-28 11:56
以单频正弦信号为激励测量系统频率响应
本代码以单频正弦信号为激励测量系统频率响应,学习此代码,可以掌握测量LTI系统频率响应的基本方法和频率域采样法设计FIR滤波器的原理。
M
0B
2020-05-27 13:07
正弦信号源电压频率测量
正弦信号源制作、电压/频率测量器单片机编写
DOC
130KB
2020-08-06 18:24
频率VERILOG
基于Xilinx FPGA的频率计Verilog代码,频率计显示使用6位数码管,测频范围10Hz至100MHz,有1秒、0.1秒、0.01秒三档,档位选择通过复位按钮复用选择。
PDF
0B
2019-01-18 21:03
微弱信号测量频率单片机c51系统proteus仿真.
电子技术是根据电子学的原理,运用电子元器件设计和制造某种特定功能的电路以解决实际问题的科学,包括信息电子技术和电力电子技术两大分支。信息电子技术包括Analog(模拟)电子技术和Digital(数字)
DOCX
0B
2019-09-25 13:31
测量脉冲频率
利用单片机实现测量脉冲频率。内有仿真图,代码有详细注释。已测试通过。
RAR
0B
2019-09-19 23:53
频率测量显示
频率测量显示
DOC
32KB
2020-09-17 05:15
频率测量.txt
利用单片机测量频率,范伟10-10k,硬件电路没有发送
TXT
0B
2020-06-21 11:03