vhdl的组合时序逻辑及转化类型设计

上传:benben1954 浏览: 10 推荐: 0 文件:RAR 大小:21.5KB 上传时间:2020-08-14 23:54:05 版权申诉
基于vhdl的时序逻辑,组合逻辑,及数据类型转化的程序 寄存器,计数器,锁存器,比较器,收发器,译码器,选择器,编码器,表决器,加法器,译码器,总线,二进制到bcd码格雷码的转换,无符号到整型的转化,及位矢量的转化
上传资源
用户评论