基于FPGA的Viterbi译码器设计及实现

上传:hege33395 浏览: 22 推荐: 0 文件:PDF 大小:275.29KB 上传时间:2020-08-30 04:56:20 版权申诉
Viterbi算法是一种最大似然译码算法。在码的约束度较小时,它比其它概率译码算法效率更高、速度更快,译码器的硬件结构比较简单。随着可编程逻辑技术的不断发展,其高密度、低功耗、使用灵活、设计快速、成本低廉、现场可编程和反复可编程等特性,使FPGA逐步成为Viterbi译码器设计的最佳方法。项目目的是用FPGA实现一个Viterbi译码器。
上传资源
用户评论