基于FPGA的硬件电子琴设计.pdf

上传:victoriag 浏览: 11 推荐: 0 文件:PDF 大小:621.47KB 上传时间:2020-11-06 00:57:52 版权申诉
利用外部按键 控制蜂鸣器发出不同的声音。可以学习按键消抖以及蜂鸣器的驱动方式
上传资源
用户评论
相关推荐
硬件电子琴设计资料FPGA
Hardware keyboard design data FPGA
RAR
0B
2019-06-28 05:30
基于FPGA电子琴设计
基于FPGA的电子琴设计基于FPGA的电基于FPGA的电子琴设计子琴设计基于FPGA的电子琴设计
DOC
0B
2019-06-05 02:47
基于fpga电子琴设计
Fpga-based keyboard design
RAR
0B
2019-06-28 05:30
基于FPGA电子琴设计
Design based on FPGA keyboard
DOC
0B
2019-06-28 05:30
基于FPGA电子琴设计论文
本设计的程序中实现单片机实现2个主要功能,它们分别是:1手动(按键1-7号或8按键)弹奏音乐,2存储曲目并播放音乐,12864液晶同步显示歌名(包含歌词信息)和操作流程提示语等内容。具体的使用详见使用
PDF
0B
2019-05-13 16:50
基于FPGA电子琴设计.rar
基于FPGA的电子琴设计.rar
RAR
5.14MB
2021-04-24 06:17
基于FPGA电子琴
FPGA-based keyboard
RAR
0B
2019-06-28 05:30
基于fpga电子琴设计vhdl描述
基于fpga的电子琴设计vhdl描述可按键发音也可播放音乐
RAR
0B
2019-05-27 12:41
基于FPGAVHDL语言电子琴设计
基于FPGA开发平台和QuartusII开发软件,采用VHDL语言编写的电子琴,程序里含“我心依旧”“浪人情歌”这两首歌,具有选歌暂停功能。
RAR
0B
2019-01-17 20:28
基于FPGA电子琴设计及仿真
该篇文章介绍了一种基于FPGA的电子琴设计,包括低音、中音、高音等音符,可以通过modelsim进行仿真。同时,还提供了一些讲解性质的word文件,方便读者更加通俗易懂地理解该设计。
zip
7.25MB
2023-07-21 17:34
基于EDA实现硬件电子琴设计
基于EDA实现硬件电子琴设计,非常详细的资料,非常适合初学者,供大家参考。
RAR
0B
2019-05-31 19:08
基于FPGA电子琴
基于FPGA电子琴,使用VERILOG语言。可以播放那个音乐。
DOC
0B
2019-01-19 04:23
基于FPGANoC硬件系统设计
设计了基于FPGA的片上网络系统硬件平台。系统由大容量的FPGA、存储器、高速A/D与D/A、通信接口和一个扩展的ARM9系统组成。完成了集高速数字信号处理、视频编解码和网络传输功能与一体的多核系统设
PDF
187KB
2020-10-28 03:03
基于FPGA硬件排序系统设计
针对软件排序速度慢、排序数据量小以及占用CPU资源多等问题,设计了一种基于FPGA的硬件排序系统。排序过程采用DMA工作方式,不占用CPU资源;数据传输采用SISO(串行输入/串行输出)方式,减少FP
PDF
355KB
2020-10-30 22:45
基于FPGALED灯硬件设计
基于FPGA的LED灯实现整体硬件框架图 可以参考下
QPF
0B
2018-12-17 11:38