基于FPGA的电子琴设计论文

上传:redunit 浏览: 32 推荐: 0 文件:PDF 大小:174.53KB 上传时间:2019-05-13 16:50:39 版权申诉
本设计的程序中实现单片机实现2个主要功能,它们分别是:1手动(按键1-7号或8按键)弹奏音乐,2存储曲目并播放音乐,12864液晶同步显示歌名(包含歌词信息)和操作流程提示语等内容。具体的使用详见使用说明那个数或者程序流程框图。
上传资源
用户评论

skykobe20428 2019-05-13 16:50:39

有些问题,还是需要自己才能解决,有一定的帮助把

相关推荐
基于FPGA电子琴设计
基于FPGA的电子琴设计基于FPGA的电基于FPGA的电子琴设计子琴设计基于FPGA的电子琴设计
DOC
0B
2019-06-05 02:47
基于fpga电子琴设计
Fpga-based keyboard design
RAR
0B
2019-06-28 05:30
基于FPGA电子琴设计
Design based on FPGA keyboard
DOC
0B
2019-06-28 05:30
基于FPGA电子琴设计.rar
基于FPGA的电子琴设计.rar
RAR
5.14MB
2021-04-24 06:17
基于FPGA电子琴
FPGA-based keyboard
RAR
0B
2019-06-28 05:30
基于fpga电子琴设计vhdl描述
基于fpga的电子琴设计vhdl描述可按键发音也可播放音乐
RAR
0B
2019-05-27 12:41
基于FPGAVHDL语言电子琴设计
基于FPGA开发平台和QuartusII开发软件,采用VHDL语言编写的电子琴,程序里含“我心依旧”“浪人情歌”这两首歌,具有选歌暂停功能。
RAR
0B
2019-01-17 20:28
基于FPGA硬件电子琴设计.pdf
利用外部按键 控制蜂鸣器发出不同的声音。可以学习按键消抖以及蜂鸣器的驱动方式
PDF
621KB
2020-11-06 00:57
基于FPGA电子琴设计及仿真
该篇文章介绍了一种基于FPGA的电子琴设计,包括低音、中音、高音等音符,可以通过modelsim进行仿真。同时,还提供了一些讲解性质的word文件,方便读者更加通俗易懂地理解该设计。
zip
7.25MB
2023-07-21 17:34
基于FPGA电子琴
基于FPGA电子琴,使用VERILOG语言。可以播放那个音乐。
DOC
0B
2019-01-19 04:23
fpga电子琴设计
fpga电子琴 这个文档真的很好华英下载 呵呵 真的挺棒的了 不错的文档 很详细
DOC
582KB
2020-07-16 08:38
基于Altera电子琴FPGA
基于Altera公司的开发板DE2--EP2C35F672C6,制作的电子琴,实现do、re、mi、fa、sol、la、xi、do八个音调,并可选择手动或自动播放,其中手动播放可实现存储与回放。并可实
ZIP
0B
2020-01-06 14:26
电子琴制作设计FPGA设计
设计了一种基于FPGA的电子琴,该电子琴由用VHDL硬件描述语言设计的核心部件和适当的外围电路构成,可从琴键上进行演奏也可自动进行乐曲演奏,可模拟传统乐器如笛、风琴、小号、单簧管、双簧管等音色。实验验
PDF
0B
2019-03-15 04:43
fpga电子琴设计ppt
基于fpga的电子琴设计,包含各个模块 1.通过本次设计,我们学到了一些东西: (1)加深了对VHDL语言的运用的规则的认识和使用,同时编程能力相对有所提高。 (2)对QUARTUS II软件的操作更
PPTX
0B
2019-01-11 19:03
FPGA电子琴设计教程
1.设计一个八音电子琴2.由键盘输入控制音响,同时可自动演奏乐曲。3.用户可以将自己编制的乐曲存入电子琴,演奏时可选择键盘输入乐曲或者已存入的乐曲。
PPT
0B
2019-07-05 20:40