EDA/PLD中的CPLD在无功补偿控制仪键盘设计中的应用

上传:泷陇 浏览: 16 推荐: 0 文件:PDF 大小:223.47KB 上传时间:2020-11-06 01:12:35 版权申诉
摘 要:本控制仪以单片机80c196kc为核心,集无功补偿、电度量计量、电能质量监测及通信于一体,能实时显示电网的各项参数,通过键盘可人工设定系统运行的参数。单片机外围芯片PSD8XX及复杂可编程逻辑器件(CPLD)的使用不仅使系统的硬件电路简化,而且使系统的性能提高。本文将讨论用CPLD来实现控制仪的键盘系统,给出了硬件电路和软件设计方法。 1 引言 无功补偿装置是用于补偿电网无功功率的不足,提高功率因数,保证供电系统安全运行和节约电能的设备,其核心是控制仪。本控制仪集无功补偿、电度量计量、电能质量监测及通信于一体,对电网参数进行实时采样与计算并把各项参数显示在LCD上,还可通过
上传资源
用户评论