EDA/PLD中的用FPGA/CPLD设计UART作

上传:liuyaoao 浏览: 22 推荐: 0 文件:PDF 大小:66.53KB 上传时间:2020-12-12 20:22:24 版权申诉
UART(即Universal Asynchronous Receiver Transmitter 通用异步收发器)是广泛使用的串行数据传输协议。UART允许在串行链路上进行全双工的通信。---串行外设用到RS232-C 异步串行接口,一般采用专用的集成电路即UART 实现。如8250、8251、NS16450等芯片都是常见的UART器件,这类芯片已经相当复杂,有的含有许多辅助的模块(如FIFO),有时我们不需要使用完整的UART的功能和这些辅助功能。或者设计上用到了FPGA/CPLD器件,那么我们就可以将所需要的UART功能集成到FPGA内部。使用VHDL将UART的核心功能集成,从而使整个
上传资源
用户评论