verilog产生正弦波

上传:奋青斗 浏览: 29 推荐: 0 文件:DOCX 大小:10.97KB 上传时间:2019-02-25 06:04:23 版权申诉
用matlab产生正弦波数据,存放到ROM里,再读出来即可。
上传资源
用户评论

沉默的小蜗牛 2019-02-25 06:04:23

代码复制在word,作用不大。

相关推荐
Verilog实现DDS产生正弦波
Verilog实现DDS产生正弦波//******************顶层模块***********************//moduleddS_top(clk,sin_out,dac_en,d
TXT
0B
2019-09-16 19:12
Verilog模拟DDS产生正弦波
绍了使用Verilog模拟DDS产生正弦波
PDF
44KB
2020-08-17 17:11
基于fpga产生正弦波Verilog程序
采用FPGA存储正弦波的256个点,分别输出,可以产生较好的正弦波,如果要产生其他的频率,只需改变分频比即可,即num的值。已经通过实物验证
NONE
0B
2019-05-03 06:10
产生正弦波
可产生正弦波的Verilog语言编写的程序,非常好的东西
TXT
0B
2020-06-08 12:19
正弦波产生
自主产生spwm
EXE
0B
2020-06-06 19:02
PWM产生正弦波
本资源为一个对正弦波生成的方法,代码简洁,对新手有一定的参考价值
RAR
0B
2019-02-27 17:21
FPGA产生正弦波
基于FPGA,利用MAXPLUS软件,配合DA模块产生简单的正弦波,
DOC
0B
2019-07-05 02:45
正弦波产生
产生一个连续的正弦波,在CCS环境下可以仿真出来
C
0B
2019-08-13 20:08
PWM产生正弦波
基于MSP430G2553的利用PWM产生正弦波的程序代码,在TILaunchPad上验证通过
RAR
0B
2019-05-07 23:46
FPGA直接产生正弦波
接到输出后能直接用· 但是是在配套的实验箱上使用的· 仅可参考 不过万一你跟我们是一样的实验箱就有用了……
RAR
0B
2018-12-07 13:55
正弦波模拟产生电路
收集的一些正弦波模拟产生电路,希望节约各位的时间
RAR
0B
2020-05-31 18:38
verilog激励产生基础
verilog,基础,对于初学者编译模块激励文件所用的一些小程序!
DOC
0B
2019-05-06 10:57
Verilog产生WALSH序列
用Verilog语言描述产生walsh序列,有代码,有仿真结果
ZIP
0B
2019-03-04 22:17
Verilog信号产生模块
采集一个输入信号的上升沿并同步输出一个脉宽可调并且可以选择的脉冲信号
V
0B
2019-07-05 02:45
STM32产生正弦波
利用STM32+DAC+TIM+DMA产生正弦波(亲测最高达到60KHz)测试平台为正点原子精英板F103ZeT6
ZIP
0B
2019-05-02 19:11