FPGA产生正弦波

上传:blackmugs 浏览: 71 推荐: 0 文件:DOC 大小:62KB 上传时间:2019-07-05 02:45:09 版权申诉
基于FPGA,利用MAXPLUS软件,配合DA模块产生简单的正弦波,
上传资源
用户评论

一叶知秋乔一 2019-07-05 02:45:09

奉劝大家不要下载 该资源是假的 只有一个内容奇怪的word文档

seagate16561 2019-07-05 02:45:09

假的,没有任何意义,介绍的只是FPGA管脚。

patch_86024 2019-07-05 02:45:09

与标题不符 假的

zhanghonglpy 2019-07-05 02:45:09

大骗子,纯粹扯淡,跟标题根本不符

相关推荐
FPGA直接产生正弦波
接到输出后能直接用· 但是是在配套的实验箱上使用的· 仅可参考 不过万一你跟我们是一样的实验箱就有用了……
RAR
0B
2018-12-07 13:55
基于fpga产生正弦波的Verilog程序
采用FPGA存储正弦波的256个点,分别输出,可以产生较好的正弦波,如果要产生其他的频率,只需改变分频比即可,即num的值。已经通过实物验证
NONE
0B
2019-05-03 06:10
基于FPGA控制AD9854产生正弦波
ad9854工作原理
PDF
502KB
2020-08-19 07:37
产生正弦波
可产生正弦波的Verilog语言编写的程序,非常好的东西
TXT
0B
2020-06-08 12:19
正弦波产生
自主产生spwm
EXE
0B
2020-06-06 19:02
PWM产生正弦波
本资源为一个对正弦波生成的方法,代码简洁,对新手有一定的参考价值
RAR
0B
2019-02-27 17:21
verilog产生正弦波
用matlab产生正弦波数据,存放到ROM里,再读出来即可。
DOCX
0B
2019-02-25 06:04
正弦波产生
产生一个连续的正弦波,在CCS环境下可以仿真出来
C
0B
2019-08-13 20:08
PWM产生正弦波
基于MSP430G2553的利用PWM产生正弦波的程序代码,在TILaunchPad上验证通过
RAR
0B
2019-05-07 23:46
正弦波模拟产生电路
收集的一些正弦波模拟产生电路,希望节约各位的时间
RAR
0B
2020-05-31 18:38
fpga_正弦波
用 fpga 实现的 DDS 可以输出正弦波和可调输出频率。
TXT
0B
2019-06-21 10:09
数字频率合成dds正弦波基于FPGA的DDS产生正弦波信号VHDL程序
Dds 正弦波的数字频率合成,基于 FPGA 的 DDS 产生的正弦波信号程序,以及 FPGA 的程序,拿来用。系统时钟为 50m,产生 100 K 的正弦波信号,可以自己改频率控制字。
RAR
0B
2019-06-21 10:09
STM32产生正弦波
利用STM32+DAC+TIM+DMA产生正弦波(亲测最高达到60KHz)测试平台为正点原子精英板F103ZeT6
ZIP
0B
2019-05-02 19:11
正弦波数据产生
一个正弦波数据发生器,可以设置产生一定分辨率的正弦波数据。以数字量来描述
ZIP
0B
2019-02-24 17:23
基于dsp的正弦波产生
设计用DSP产生正弦波,用到了C54X的DSP处理软件,最终能产生正弦波
RAR
0B
2019-05-20 13:59