基于fpga产生正弦波的Verilog程序

上传:waiwaigou 浏览: 58 推荐: 0 文件:NONE 大小:4.99KB 上传时间:2019-05-03 06:10:39 版权申诉
采用FPGA存储正弦波的256个点,分别输出,可以产生较好的正弦波,如果要产生其他的频率,只需改变分频比即可,即num的值。已经通过实物验证
上传资源
用户评论

destiny_m 2019-05-03 06:10:39

挺好用的资源,有参考价值

xuanyzs 2019-05-03 06:10:39

还是很不错的

they9029 2019-05-03 06:10:39

写的有条理,对自己有很大的参考作用

forbid_55684 2019-05-03 06:10:39

我觉得一个正弦波不需要这么麻烦,直接就正弦波生成器生成一组数据,寄存在ram里,然后就可以一个一个读取出来,这样更简单。楼主的方法貌似对于新手还是很困难啊

rvnvjai 2019-05-03 06:10:39

思路很好 , 就是不是很灵活

qq_94170268 2019-05-03 06:10:39

很好的资源 有一定的参考价值

bingfengyizhan 2019-05-03 06:10:39

凑合能用吧,但是也谢谢了

MU_kaka 2019-05-03 06:10:39

代码不错,能用,可惜的是只有代码

weixin_81414 2019-05-03 06:10:39

很好的资源,就是我学的太少了,读起来费劲

fort_40877 2019-05-03 06:10:39

八个管脚输出,不知道怎么测这个正弦信号了