基于FPGA(EP2C35672C8)的Verilog数字钟

上传:xlxlyu 浏览: 41 推荐: 0 文件:RAR 大小:1.13MB 上传时间:2019-04-19 23:08:19 版权申诉
用Verilog写的数字时钟,用八位数码管显示,具有时间调节功能
上传资源
用户评论
相关推荐
基于verilogfpga数字钟
l、能进行正常的时、分、秒计时功能,分别由6个数码显示24小时、60分钟的计数器显示。 2、能利用实验系统上的按钮实现“校时”、“校分”功能; (1)按下“SA”键时,计时器迅速递增,并按24小时循环
zip
0B
2019-01-07 18:09
基于verilog数字钟
一个基于verilog的数字钟程序,用xilinx的basys2开发板
RAR
0B
2018-12-08 02:10
基于verilog系统数字钟
能够正常走时,可调时,闹钟功能。 软件仿真平台maxstart
zip
0B
2019-03-02 00:27
基于Verilog语言数字钟
Verilog语言设计数字钟,具有闹钟,校准,整点报时功能
V
0B
2019-06-04 09:56
基于Verilog数字钟设计
基于Altera公司的FPGA设计,时分秒可调的数字钟
RAR
0B
2019-01-17 11:33
基于verilog数字钟实现
该程序实现了基本的数字钟的显示功能。并且也实现了基本的清零功能。其他的调时,报时功能只要在程序中添加简单代码即可实现。
RAR
0B
2019-07-07 01:27
基于FPGA数字钟
基于FPGA的电子时钟设计,具有调时、整点报时等功能。用简单的计数和进位的功能实现、用6位数码管显示。
ZIP
0B
2019-07-09 04:37
基于FPGA数字钟
随着科学技术的飞速发展,人们时间观念的逐渐加强,对时间效益关注程度的越来越重视,无凝拥有一个高精度的电子表显得尤为重要。数字电子钟的出现,给人们的生活带来了无穷尽的方便。
DOC
801KB
2021-04-26 02:09
基于FPGA数字钟
FPGA的一篇论文。。方便大家学习和上交毕业论文,期末论文
DOC
0B
2019-01-15 17:27
基于FPGAVerilog HDL语言实现多功能数字钟
这是一个基于FPGA,用VerilogHDL语言实现的多功能数字钟,课程设计的项目。
rar
0B
2019-05-25 07:50
基于fpga数字钟设计
针对于fpga的数字钟设计,vhdl源码,课程设计必备
RAR
0B
2018-12-26 01:45
基于FPGA数字钟设计
基于FPGA的数字钟的设计
RAR
0B
2019-01-04 03:07
基于FPGA数字钟开发
基于AlteraCycloneIV系列FPGA开发的可设定时间的数字钟,利用开发板板载的数码管以及按键实现时间的显示和设定。
ZIP
0B
2020-04-26 05:05
基于FPGA数字钟设计
基于FPGA的数字钟的设计,具有显示时间、定时、整点报时等功能!绝对牛叉
TXT
0B
2019-04-29 01:42
基于FPGA数字钟设计
基于FPGA的数字钟设计,运用Quartus2平台的完整工程文件。
RAR
0B
2019-09-19 08:12