Verilog语言生成正弦波

上传:qq_67141 浏览: 30 推荐: 0 文件:ZIP 大小:5.68MB 上传时间:2019-05-14 20:24:31 版权申诉
Verilog语言生成正弦波Verilog语言生成正弦波Verilog语言生成正弦波Verilog语言生成正弦波
上传资源
用户评论
相关推荐
基于Verilog正弦波信号生成
该信号生成器采用直接数字合成技术,通过相位累加和地址查询模块实现正弦波信号的生成。其中涉及的关键技术包括相位累加、波形存储器以及输出地址查表等。模块设置包括时钟生成以及分频模块、按键输入及消抖模块、并
zip
21.38MB
2023-06-09 08:56
verilog产生正弦波
用matlab产生正弦波数据,存放到ROM里,再读出来即可。
DOCX
0B
2019-02-25 06:04
C语言生成正弦波数据
通过C语言代码来生成一组正弦波数据,通过不同的公式可生成不同大小和相位的正弦波数据。并通过串口将生成的数据打印出来。
ZIP
0B
2020-05-23 13:09
verilog DDS正弦波三角波生成函数
verilog DDS正弦波,三角波生成函数,有具体的文档说明
RAR
495KB
2020-08-05 03:12
verilog正弦波发生器
该文档讲述了利用FPGA实现正弦波发生器的原理,并给出了源代码。
rtf
0B
2019-07-06 09:16
Verilog实现DDS产生正弦波
Verilog实现DDS产生正弦波//******************顶层模块***********************//moduleddS_top(clk,sin_out,dac_en,d
TXT
0B
2019-09-16 19:12
Verilog模拟DDS产生正弦波
绍了使用Verilog模拟DDS产生正弦波
PDF
44KB
2020-08-17 17:11
M序列生成程序采用verilog语言
采用verilog语言生成m序列用用一位寄存器原理
TXT
0B
2019-05-04 14:42
DAC正弦波生成
DAC正弦波波形生成代码例程,利用PWM输出端口产生正玄波
zip
0B
2019-04-08 13:58
正弦波生成
正弦波表生成软件
RAR
0B
2019-07-06 14:25
正弦波数据生成正弦波数据生成
正弦波数据生成器,正弦波数据生成器,正弦波数据生成器,正弦波数据生成器
RAR
0B
2018-12-07 21:32
Verilog语言
VerilogHDL介绍1.VerilogHDL概述2.VerilogHDL的词法3.VerilogHDL常用语句4.不同抽象级别的不同抽象级别的VerilogHDL模型.基本组合逻辑部件设计基本组合
PDF
0B
2019-05-27 13:26
verilog语言
verilog语言,东南大学的课件,讲的很好,不错的资料
RAR
0B
2019-09-09 04:13
正弦波数据生成正弦波
生成正弦波码表,可以通过单片机DA输出正弦波
RAR
0B
2018-12-26 01:13
正弦波数据生成正弦波表.
正弦波数据生成器(正弦波表)!好东东的!
EXE
0B
2020-06-08 12:19