VHDl任意波形发生器的设计

上传:wxsuifeng 浏览: 22 推荐: 0 文件:RAR 大小:648.04KB 上传时间:2019-05-21 22:11:35 版权申诉
直接可以用,方便于课程设计的同学功能:当控制端aw,bw分别为00时,输出dw为0当控制端aw,bw分别为01时,输出dw为方波当控制端aw,bw分别为10时,输出dw为正弦波当控制端aw,bw分别为11时,输出dw为三角波
上传资源
用户评论

singularity2873 2019-05-21 22:11:35

思路简单清晰,含完整代码,非常感谢

相关推荐
基于VHDL任意波形发生器设计
本文使用的是多路选择器来实现多个信号的发生主要有阶梯波正弦波方波三角波等
DOC
0B
2019-05-21 22:11
任意波形发生器基于VHDL语言
能产生任意波形基于VHDL语能产生任意波形基于VHDL语言
RAR
0B
2019-05-19 13:10
波形发生器vhdl设计
vhdl设计注1:含有不可综合语句,请自行修改注2:一些PLD只允许I/O口对外三态,不支持内部三态,使用时要注意注3:设计RAM的最好方法是利用器件厂家提供的软件自动生成RAM元件,并在VH
VHD
0B
2020-05-30 17:46
高速任意波形发生器设计
文档介绍了高速任意波形发生器的设计,里面包涵有设计原理图等!
word文档
0B
2019-05-28 01:12
任意波形发生器
任意波形发生器,波形频率均可调,附带各类资料,包含源代码和仿真图,电源模块仿真图也包含,这些都经过实物验证,所以一切ok!
ZIP
0B
2019-02-14 12:46
波形发生器VHDL
波形发生器VHDLentitymine4isport(clk:instd_logic;--时钟信号输入set,clr,up,down,zu,zd:instd_logic;--各个波形特征的调节触发信号
TXT
0B
2019-09-27 15:40
基于LabVIEW任意波形发生器设计
Arbitrary Waveform Generator Design Based on LabVIEW
PDF
0B
2019-06-27 03:18
任意波形发生器设计改.doc
任意波形发生器的设计(改).doc通过单片机控制,可产生任意波形,可调节幅度及相位
DOC
388KB
2020-07-26 01:25
基于SOPC任意波形发生器设计
摘要:该文设计了一个基于SOPC 的任意波形发生器,任意波形发生器采用了FPGA +USB通信芯片的硬件构架,具有即插即用的特性,能实现两路可调相位差的任意波形输出,波形频率分辨率达到0. 1Hz。另
PDF
281KB
2021-02-27 12:58
基于DSP任意波形发生器设计
采用VC++生成各种波形发生数据的原理及方法,整个系统以DSP作为核心控制器,数模转换部分采用16位高速的AD7846,然后经过低通滤波器滤波即获得所需波形,后面的可编程增益运放PGA205和P6A1
PDF
0B
2019-03-16 11:48
基于FPGA任意波形发生器设计
基于FPGA的任意波形发生器的设计,内含仿真设计原理等
PDF
0B
2019-05-19 13:10
FPGA实现任意波形发生器设计
运用DDS原理,进行任意波形发生器的设计,使得任意波形发生器兼顾DDS的优点。设计中通过实现DDS模块与单片机接口的控制部分将频率控制字由单片输入到输入寄存器模块,由相位累加器模块对输入频率控制字进行
PDF
294KB
2020-10-28 03:58
波形发生器VHDL程序
波形发生器的VHDL程序!波形发生器的VHDL程序!
DOC
0B
2019-06-05 13:15
基于VHDL波形发生器
基于VHDL的波形发生器,方形,锯齿波,三角波
RAR
0B
2018-12-26 08:26
fpga任意波形发生器
一个fpga实现任意波形发生器的学习文档,很全哦。。。
DOCX
0B
2019-05-06 03:35