波形发生器的VHDL程序

上传:dianxiaotu48555 浏览: 20 推荐: 0 文件:DOC 大小:54KB 上传时间:2019-06-05 13:15:42 版权申诉
波形发生器的VHDL程序!波形发生器的VHDL程序!
上传资源
用户评论

kolapoker 2019-06-05 13:15:42

还可以,值得下载

相关推荐
波形发生器程序VHDL
利用FPGA设计波形发生器,可实现任意波形的产生,所用语言是VHDL
RAR
0B
2019-05-15 05:39
FPGA波形发生器程序VHDL
自己用VHDL语言写的波形发生器程序....这个是我期末考试的作业...仅供参考....
RAR
0B
2020-06-08 12:18
波形发生器VHDL
波形发生器VHDLentitymine4isport(clk:instd_logic;--时钟信号输入set,clr,up,down,zu,zd:instd_logic;--各个波形特征的调节触发信号
TXT
0B
2019-09-27 15:40
基于VHDL波形发生器
基于VHDL的波形发生器,方形,锯齿波,三角波
RAR
0B
2018-12-26 08:26
基于VHDL波形发生器
--文件名:mine4.vhd。--功能:实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波--A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成--各种
DOC
0B
2019-05-21 22:11
波形发生器vhdl设计
vhdl设计注1:含有不可综合语句,请自行修改注2:一些PLD只允许I/O口对外三态,不支持内部三态,使用时要注意注3:设计RAM的最好方法是利用器件厂家提供的软件自动生成RAM元件,并在VH
VHD
0B
2020-05-30 17:46
VHDl任意波形发生器设计
直接可以用,方便于课程设计的同学功能:当控制端aw,bw分别为00时,输出dw为0当控制端aw,bw分别为01时,输出dw为方波当控制端aw,bw分别为10时,输出dw为正弦波当控制端aw,bw分别为
RAR
0B
2019-05-21 22:11
DDS波形发生器VHDL源码
基于VHDL语言设计的DDS波形,数字频率计
DOC
0B
2019-07-08 16:15
多功能波形发生器VHDL程序与仿真
利用硬件描述语言VHDL详细说明了信号发生器的调频调幅调占空比
其他文档
0B
2019-05-28 01:12
VHDL编写多功能波形发生器
本程序用VHDL语言编写的多功能信号发生器,此信号发生器可实现4种常见波形:正弦、三角、锯齿、方波。每种波形的频率和幅度都可通过修改参数来控制。
其他文档
0B
2019-05-28 01:12
基于vhdl语言简易波形发生器
vhdl语言编写包括正选余弦方波等
RAR
0B
2019-09-24 18:50
任意波形发生器基于VHDL语言
能产生任意波形基于VHDL语能产生任意波形基于VHDL语言
RAR
0B
2019-05-19 13:10
基于VHDL任意波形发生器设计
本文使用的是多路选择器来实现多个信号的发生主要有阶梯波正弦波方波三角波等
DOC
0B
2019-05-21 22:11
多功能波形发生器VHDL程序与仿真URAT VHDL程序与仿真ASK调
多功能波形发生器,URATVHDL 程序和仿真 ASK,6998b85439f 的程序和仿真
RAR
0B
2019-06-21 02:25
波形发生器程序
波形发生器程序
RAR
60KB
2020-07-28 18:50