VHDL编写的多功能波形发生器

上传:weixin_38187771 浏览: 32 推荐: 0 文件:其他文档 大小:13KB 上传时间:2019-05-28 01:12:54 版权申诉
本程序用VHDL语言编写的多功能信号发生器,此信号发生器可实现4种常见波形:正弦、三角、锯齿、方波。每种波形的频率和幅度都可通过修改参数来控制。
上传资源
用户评论

ap68834 2019-05-28 01:12:54

看不大懂,可能是本人水平不够

相关推荐
多功能波形发生器VHDL程序与仿真
利用硬件描述语言VHDL详细说明了信号发生器的调频调幅调占空比
其他文档
0B
2019-05-28 01:12
波形发生器VHDL
波形发生器VHDLentitymine4isport(clk:instd_logic;--时钟信号输入set,clr,up,down,zu,zd:instd_logic;--各个波形特征的调节触发信号
TXT
0B
2019-09-27 15:40
波形发生器VHDL程序
波形发生器的VHDL程序!波形发生器的VHDL程序!
DOC
0B
2019-06-05 13:15
基于VHDL波形发生器
基于VHDL的波形发生器,方形,锯齿波,三角波
RAR
0B
2018-12-26 08:26
基于VHDL波形发生器
--文件名:mine4.vhd。--功能:实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波--A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成--各种
DOC
0B
2019-05-21 22:11
波形发生器vhdl设计
vhdl设计注1:含有不可综合语句,请自行修改注2:一些PLD只允许I/O口对外三态,不支持内部三态,使用时要注意注3:设计RAM的最好方法是利用器件厂家提供的软件自动生成RAM元件,并在VH
VHD
0B
2020-05-30 17:46
多功能波形发生器
本多功能波形发生器设计使用的AT89S51单片机和键盘电路作为核心控制,8255作为显示芯片,双DAC0832控制输出波形参考幅值构成的发生器可产生锯齿波、三角波、正弦波等多种波形。波形的频率、幅值根
DOC
0B
2019-09-25 21:52
VHDl任意波形发生器设计
直接可以用,方便于课程设计的同学功能:当控制端aw,bw分别为00时,输出dw为0当控制端aw,bw分别为01时,输出dw为方波当控制端aw,bw分别为10时,输出dw为正弦波当控制端aw,bw分别为
RAR
0B
2019-05-21 22:11
波形发生器源程序VHDL
利用FPGA设计波形发生器,可实现任意波形的产生,所用语言是VHDL
RAR
0B
2019-05-15 05:39
DDS波形发生器VHDL源码
基于VHDL语言设计的DDS波形,数字频率计
DOC
0B
2019-07-08 16:15
FPGA波形发生器程序VHDL
自己用VHDL语言写的波形发生器程序....这个是我期末考试的作业...仅供参考....
RAR
0B
2020-06-08 12:18
多功能波形发生器设计
该发生器能在操作人员控制下输出正弦波、方波、三角波或锯齿波波形。这些波形的极性、周期和占空比(对矩形波而言)等可由操作人员设置和修改(信号频率可调节,使用数模转换芯片ADC0808或ADC0809,通
RAR
0B
2019-05-06 03:33
FPGA多功能波形发生器
在Q2中进行仿真,基于fpga的多功能波形发生器
DOC
0B
2019-05-06 03:34
多功能波形信号发生器
多功能波形信号发生器VHDL详细代码!!!!!!!!
DOC
61KB
2020-08-21 06:03
多功能波形发生器VHDL程序与仿真URAT VHDL程序与仿真ASK调
多功能波形发生器,URATVHDL 程序和仿真 ASK,6998b85439f 的程序和仿真
RAR
0B
2019-06-21 02:25