基于vhdl语言的简易波形发生器

上传:byirain 浏览: 27 推荐: 0 文件:RAR 大小:3.11MB 上传时间:2019-09-24 18:50:49 版权申诉
vhdl语言编写包括正选余弦方波等
上传资源
用户评论
相关推荐
任意波形发生器基于VHDL语言
能产生任意波形基于VHDL语能产生任意波形基于VHDL语言
RAR
0B
2019-05-19 13:10
基于VHDL波形发生器
基于VHDL的波形发生器,方形,锯齿波,三角波
RAR
0B
2018-12-26 08:26
基于VHDL波形发生器
--文件名:mine4.vhd。--功能:实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波--A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成--各种
DOC
0B
2019-05-21 22:11
波形发生器VHDL
波形发生器VHDLentitymine4isport(clk:instd_logic;--时钟信号输入set,clr,up,down,zu,zd:instd_logic;--各个波形特征的调节触发信号
TXT
0B
2019-09-27 15:40
基于VHDL任意波形发生器设计
本文使用的是多路选择器来实现多个信号的发生主要有阶梯波正弦波方波三角波等
DOC
0B
2019-05-21 22:11
波形发生器VHDL程序
波形发生器的VHDL程序!波形发生器的VHDL程序!
DOC
0B
2019-06-05 13:15
VHDL语言FPGA实现波形发生器
波形产生功能。DDS方法。可产生方波、正弦波、三角波,频率范围约1-10kHz。
RAR
0B
2019-05-21 22:11
简易波形发生器
题目六、简易波形发生器(基于单片机的设计——实验箱或Proteus仿真)设计要求:通过开关或按钮有选择地输出四种波形——正弦波、三角波、方波和梯形波四种波形的频率可通过输入电位器在一定范围内调节
RAR
0B
2019-04-28 11:25
波形发生器vhdl设计
vhdl设计注1:含有不可综合语句,请自行修改注2:一些PLD只允许I/O口对外三态,不支持内部三态,使用时要注意注3:设计RAM的最好方法是利用器件厂家提供的软件自动生成RAM元件,并在VH
VHD
0B
2020-05-30 17:46
实现各种波形简易波形发生器
通过VC++设计,实现不同波形的选择显现,实现三角波,矩形波,方波等的波形。
DOC
0B
2019-05-15 05:39
基于单片机简易波形发生器
基于MCS-51型单片机,使用基础C程序编程。能保证较好的实时性,未提供ISIS仿真图,可根据内容设计出仿真图,并可下载到板子上用
C
0B
2019-05-13 10:30
基于DAC0832简易波形发生器
简易波形发生器.pdfdac0832.cpulse.cdac0832.doc
RAR
0B
2020-06-20 02:45
FPGA波形发生器内有VHDL语言完整验证
好的内有VHDL 语言 任意波形发生器是一种能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波等多种波形的电路。本设计是基于FPGA芯片设计的多功能任意波形发生器。
rar
0B
2019-02-14 23:32
VHDl任意波形发生器设计
直接可以用,方便于课程设计的同学功能:当控制端aw,bw分别为00时,输出dw为0当控制端aw,bw分别为01时,输出dw为方波当控制端aw,bw分别为10时,输出dw为正弦波当控制端aw,bw分别为
RAR
0B
2019-05-21 22:11
简易波形发生器ppt
简易波形发生器,发生频率占空比可调方波,数码管显示。
PPT
0B
2019-03-03 17:52