简单数字时钟 EDA设计

上传:i_poo 浏览: 28 推荐: 0 文件:PDF 大小:260.13KB 上传时间:2019-07-08 13:13:58 版权申诉
实现简单的数字时钟有时序图之类~~并带有总结,是一个很好的资源
上传资源
用户评论

wo34350 2019-07-08 13:13:58

还挺好,糊弄过了