eda数字时钟设计

上传:MeConverse 浏览: 24 推荐: 0 文件:DOC 大小:403KB 上传时间:2020-09-24 18:42:36 版权申诉
这是一个时钟设计说明书
上传资源
用户评论

ja油va 2020-09-24 18:42:36

可以用于课程设计,有借鉴意义

zhangsuiyuan 2020-09-24 18:42:37

可以用哦,我的课程设计就是用这个高的。