eda程序数字时钟设计

上传:szluoji 浏览: 21 推荐: 0 文件:DOCX 大小:14.38KB 上传时间:2019-05-15 23:47:52 版权申诉
这是一个数字时钟设计,我真的不知道描述什么了,垃圾网站,传东西这么麻烦
上传资源
用户评论
相关推荐
数字时钟EDA设计
有分、秒、时显示,可以整点报时用VHDL语言设计分模块,再进行打包综合
QPF
0B
2019-05-06 06:17
eda数字时钟设计
这是一个时钟设计说明书
DOC
403KB
2020-09-24 18:42
数字时钟delphi EDA设计程序
比较好的模块程序。关注一下数字时钟的设计可免费提供
DOC
0B
2019-09-19 18:03
EDA数字时钟设计报告
完整的EDA数字时钟设计,包括时间显示,万年历显示,星期显示,并且可以调整时间和年月日。提供了各个模块的电路图,内容详尽!
DOCX
0B
2019-05-13 10:32
基于eda数字时钟设计
Based on eda digital clock design
RAR
0B
2019-06-22 06:11
eda数字时钟设计
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned
DOC
0B
2018-12-25 00:01
EDA数字时钟
EDA数字时钟具有整点报时,设置时钟,设置闹钟,整点报时6声,响一秒,停一秒。
RAR
0B
2019-05-06 06:16
eda数字时钟
数字时钟不是数字的始祖的的的的的的ddddddd、
DOC
0B
2019-05-13 10:32
数字时钟EDA
数字时钟eda仿真设计报告内容很详细的
DOC
0B
2019-05-13 10:32
基于EDA数字时钟设计
本系统由秒计数器、分钟计数器、小时计数器、整点报时、分的调整以及小时的调整并能显示小时、分钟、秒。采用自顶向下的设计方法,子模块利用VHDL语言设计,顶层文件用原理图的设计方法。显示:小时采用24进制
DOC
0B
2019-05-16 06:22
简单数字时钟EDA设计
实现简单的数字时钟有时序图之类~~并带有总结,是一个很好的资源
PDF
0B
2019-07-08 13:13
EDA数字时钟设计课程设计
系统由AT89C51、LED数码管、按键、电容、电阻等部分构成,能实现时间的调整、输出、调时间等功能。系统中按钮BUTTON能对时间进行调整功能的按钮,采用单键控制调时功能,运用软件去抖判断按键的时间
DOC
0B
2019-05-13 10:32
EDA书写的数字时钟程序
基于VerilogDHL的数字时钟程序
TXT
0B
2019-07-12 15:03
EDA实验报告数字时钟设计
EDA Lab Report - Digital Clock Design
DOCX
0B
2019-06-22 06:10
EDA课程设计数字时钟
EDA课程设计 课题1:数字钟设计 设计要求: 1. 具有时、分、秒,计数及数码管显示功能,以 24 小时循环计时。 2. 具有清零,调节小时、分钟功能
PPT
0B
2018-12-07 18:27