基于eda数字时钟设计

上传:legend94747 浏览: 47 推荐: 0 文件:RAR 大小:176.81KB 上传时间:2019-06-22 06:11:30 版权申诉
Based on eda digital clock design
上传资源
用户评论

FeynmanH 2019-06-22 06:11:30

打开粗略看了一下,自己也编了一个,可以对比,参考,学习一下

skilful1440 2019-06-22 06:11:30

刚好可以用 写得还好

qq_49702 2019-06-22 06:11:30

刚好可以用上!

u822968938 2019-06-22 06:11:30

写得还好,可惜,遇到些问题还没解决

会上树的pige 2019-06-22 06:11:30

刚好可以用上!

lvran 2019-06-22 06:11:30

呵呵, VHDL 语言的 楼主加油