verilog编写基于FPGA的示波器核心实现.rar

上传:qq43222 浏览: 18 推荐: 0 文件:RAR 大小:992.66KB 上传时间:2020-05-30 09:44:14 版权申诉
verilog编写基于FPGA的示波器核心实现。有需要的童鞋可以下载看看,没有电路原理图,只有程序源代码。
上传资源
用户评论