verilog写的交通灯已下载实现

上传:hg160 浏览: 10 推荐: 0 文件:ZIP 大小:80.59KB 上传时间:2020-09-20 08:54:56 版权申诉
verilog写的交通灯,已经仿真,实现了。并且下载到xilinx的开发板work了
上传资源
用户评论
相关推荐
交通灯Verilog实现
Verilog implementation of traffic lights
RAR
0B
2019-06-28 00:11
verilog实现交通灯
这是用verilog实现交通灯的常规功能
RAR
0B
2019-07-08 16:09
verilog实现交通灯控制系统
实现交通灯控制的ISE文件,内含实现代码和仿真代码
RAR
0B
2019-01-22 17:27
verilog交通灯
verilog交通灯代码基础性 源代码 可根据自身需要进行改进
TXT
0B
2019-01-22 17:19
交通灯verilog
交通灯控制verilog代码!非常好!代码经过试验完全正确
DOC
0B
2019-04-27 04:38
Verilog交通灯
用Verilog编写的交通灯程序,已经在开发板上验证过。
NONE
0B
2019-07-15 12:18
Verilog设计交通灯
Traffic lights designed by Verilog
RAR
0B
2019-06-21 21:46
使用Verilog语言实现交通灯功能
用Verilog语言编程在FPGA开发板上仿真交通灯的功能,已实现
TXT
0B
2019-01-22 17:19
Verilog HDLSDRAM core源程序通过测试
用VerilogHDL写的SDRAMcore源程序(已通过测试)SDRAMcoresourceprogramwritteninVerilogHDL(tested)
ZIP
0B
2020-05-19 08:52
交通灯设计verilog
verilog编写的交通灯
V
0B
2019-01-22 17:19
verilog交通灯控制
基于verilog的交通信号灯控制,CLK:为同步时钟;EN:使能信号,为1的话,则控制器开始工作;LAMPA:控制A方向四盏灯的亮灭;其中,LAMPA0~LAMPA3,分别控制A方向的左拐灯、绿灯、
DOC
0B
2019-05-16 06:21
交通灯Verilog设计
Traffic light Verilog design
DOC
0B
2019-06-26 08:04
verilog交通灯设计
本实验为自主选题设计实验,实验选择具有倒计时显示功能的红黄绿三色交通设计,实验中采用verilog HDL 作为设计功能描述语言,选用Altera公司的MAX II EPM240T100C5最为主控芯
DOC
0B
2018-12-08 06:38
基于fpga交通灯verilog
基于verilog的交通灯,带有测试激励。
RAR
0B
2019-05-16 06:21
基于verilog交通灯开发
Verilog-based traffic light development
V
0B
2019-06-27 17:34