用verilog语言实现lcd1602字符数字显示

上传:qqhence75951 浏览: 13 推荐: 0 文件:V 大小:9.73KB 上传时间:2020-11-18 04:26:01 版权申诉
本程序用verilog语言实现lcd1602字符数字显示。所谓1602是指显示的内容为16*2,即可以显示两行,每行16个字符。
上传资源
用户评论