LCD1602驱动(verilog)

上传:一叶知秋_96028 浏览: 13 推荐: 0 文件:RAR 大小:1.05MB 上传时间:2020-05-17 21:03:11 版权申诉
LCD1602驱动(verilog),经过调试,绝对能用
上传资源
用户评论
相关推荐
Verilog HDL驱动LCD1602
Verilog HDL 驱动LCD1602
QPF
0B
2018-12-20 21:56
verilog LCD1602
verilog—LCD1602代码:module lcd1602(clk,rst,lcd_rs,clklcd,lcd_rw,data); input clk,rst; output lcd_rs,lc
DOC
0B
2018-12-20 21:57
verilog lcd1602显示
基于fpga的verilog编程实现lcd1602的显示
RAR
4KB
2020-11-25 12:38
lcd1602控制verilog代码
lcd1602控制verilog代码,实用的
V
0B
2018-12-20 21:57
LCD1602驱动
LCD1602驱动,可实现一个字符,多个字符显示,已经在单片机上运行过
ZIP
0B
2019-02-18 16:52
lcd1602驱动
lcd1602的驱动 这是本人基于51单片机写得一个程序 已通过自己的验证
TXT
0B
2019-01-14 20:36
LCD1602显示代码verilog HDL编写
FPAG LCD1602液晶显示驱动,带有数据输入端口,可循环显示你想要显示的动态数据。完整注解。
V
0B
2018-12-10 10:39
LCD1602的FPGA_verilog实现
LCD1602的FPGA_verilog实现,lcd1602的fpga驱动实现方式,配合独立按键刷新显示内容
RAR
0B
2018-12-20 21:56
LCD1602液晶驱动
基于msp430单片机LCD1602液晶C语言驱动程序
C
0B
2019-05-04 19:54
LCD1602驱动
介绍LCD1602的结构和工作原理,叫你如何在LCD上显示。本资源包括了两个实例。
DOC
0B
2019-01-06 14:26
lcd1602驱动显示
1602LCD是指显示的内容为16X2,即可以显示两行,每行16个字符液晶模块(显示字符和数字)。目前市面上字符液晶绝大多数是基于HD44780液晶芯片的,控制原理是完全相同的,因此基于HD44780
0B
2019-01-16 09:42
LCD1602驱动代码
LCD1602驱动代码
C
9KB
2020-08-12 11:08
Verilog驱动VGA
详细介绍了在FPGA上用Verilog语言驱动VGA显示的方法
RAR
0B
2019-01-02 20:16
vga驱动verilog
用verilog实现的VGA驱动,在显示器上显示简单的颜色,CPLD用的是MAXII系里
RAR
0B
2019-09-02 21:56
VGA驱动verilog
VGA显示彩条实验,适合初学者学习使用。有注释,易理解
RAR
0B
2019-09-08 15:34