verilog lcd1602显示

上传:u634672408 浏览: 15 推荐: 0 文件:RAR 大小:3.74KB 上传时间:2020-11-25 12:38:37 版权申诉
基于fpga的verilog编程实现lcd1602的显示
上传资源
用户评论
相关推荐
LCD1602显示代码verilog HDL编写
FPAG LCD1602液晶显示驱动,带有数据输入端口,可循环显示你想要显示的动态数据。完整注解。
V
0B
2018-12-10 10:39
verilog LCD1602
verilog—LCD1602代码:module lcd1602(clk,rst,lcd_rs,clklcd,lcd_rw,data); input clk,rst; output lcd_rs,lc
DOC
0B
2018-12-20 21:57
LCD1602驱动verilog
LCD1602驱动(verilog),经过调试,绝对能用
RAR
0B
2020-05-17 21:03
FPGA verilog高精度频率计LCD1602显示
采用verilog HDL编写,高精度频率计,精度小于0.5%,测量范围1Hz~1MHz,采用LCD1602显示,代码带有完整注解。
RAR
0B
2018-12-31 16:38
基于FPGA的LCD1602动态显示Verilog实现
FPGA驱动LCD1602,其实就是通过同步状态机模拟单片机驱动LCD1602,由并行模拟单步执行,状态过程就是先初始化LCD1602,然后写地址,最后写入显示数据。
PDF
212KB
2020-08-15 23:17
verilog实现的LCD1602显示的分频计数器
verilog 实现的,LCD1602显示的分频计数器 内部采用的是自上而下的设计模式,有疑问可以给我发邮件, 350064770@qq.com
rar
0B
2018-12-31 16:38
lcd1602控制verilog代码
lcd1602控制verilog代码,实用的
V
0B
2018-12-20 21:57
Verilog HDL驱动LCD1602
Verilog HDL 驱动LCD1602
QPF
0B
2018-12-20 21:56
LCD1602显示
AVR对LCD1602的显示,显示英文等等
RAR
0B
2019-01-07 10:55
基于FPGA和Verilog语言的LCD1602的时钟显示和汉字显示.zip
本例程是基于 FPGA 和 OpenGL 的 LCD 1602 的时钟显示和汉字显示,需要用到取模软件。个人使用 8x8 led 点矩阵字体。8x8LED 点矩阵字体软件: https://pan.b
ZIP
0B
2019-06-21 13:19
verilog语言实现lcd1602字符数字显示
本程序用verilog语言实现lcd1602字符数字显示。所谓1602是指显示的内容为16*2,即可以显示两行,每行16个字符。
V
10KB
2020-11-18 04:26
LCD1602显示时间
* 实 验 名 : LCD1602显示时间 v2.0 * 实验说明 : LCD1602显示 00:00
RAR
0B
2019-01-22 03:05
lcd1602滚屏显示
lcd1602滚屏显示,可以显示一些字符,和一些字母、数字,源代码公布
TXT
0B
2019-05-05 06:35
LCD1602显示仿真
包含了简单 详细的lcd1602驱动程序,并且有PROTEUS的仿真电路··可以让你很快上手LCD1602的操作·
RAR
0B
2019-01-23 03:37
LCD1602显示程序
驱动LCD1602的程序.是用C写的代码。可以自由的调用传写数椐。
C
0B
2019-01-10 20:57