基于FPGA的LCD1602动态显示 Verilog实现

上传:happylake54738 浏览: 12 推荐: 0 文件:PDF 大小:211.73KB 上传时间:2020-08-15 23:17:38 版权申诉
FPGA驱动LCD1602,其实就是通过同步状态机模拟单片机驱动LCD1602,由并行模拟单步执行,状态过程就是先初始化LCD1602,然后写地址,最后写入显示数据。
上传资源
用户评论
相关推荐
LCD1602FPGA_verilog实现
LCD1602的FPGA_verilog实现,lcd1602的fpga驱动实现方式,配合独立按键刷新显示内容
RAR
0B
2018-12-20 21:56
FPGA驱动OLED动态显示Verilog代码
采用altera的芯片,使用7针4线SPI接口OLED模块,利用纯Verilog语言控制OLED实现实时动态数据显示。根据工程中的引脚约束正确连接好接线,可以直接使用工程。
RAR
0B
2019-05-12 23:51
基于FPGA74HC595驱动数码管动态显示Verilog实现
基于FPGA的74HC595驱动数码管动态显示--Verilog实现.由FPGA控制74HC595驱动数码管其实主要是抓住74HC595的控制时序,进而输出所需控制显示的内容,由同步状态机实现.
PDF
214KB
2020-08-30 00:43
基于FPGA音乐实现Verilog语言实现
基于FPGA的音乐实现。在FPGA开发板上播放音乐。通过不同的分频来实现不同乐曲的播放。值得收藏!!!
RAR
0B
2019-07-10 15:57
基于FPGA实现AD转换verilog代码
通过利用QuatrusII软件编写verilog的AD转换代码,使用USBblaster将代码下载到FPGA开发板中,外接10MHz信号源,从而可将模拟信号转换为数字信号
RAR
0B
2019-05-17 02:53
基于Verilog简易UARTFPGA CPLD实现
测试平台:MACHXO640可编程语言:Verilog随机测试:是波特率:9600误码率:<1%oooooo目标:在xo640上实现一个简单的Uart,能够解析串口数据,并在寄存器中存储
PDF
57KB
2020-10-27 12:36
基于FPGA实现串口通信Verilog开发
工程是基于Libero开发平台上实现的Verilog串口收发通信功能,可移植性高
ZIP
0B
2020-02-07 05:51
基于FPGAUART设计Verilog实现程序
基于FPGA的UART设计的Verilog实现程序,对UART的实现采用veriolg来实现。
DOC
0B
2018-12-09 14:57
基于FPGA硬件Verilog语言FPGA根算法实现.t
基于FPGA硬件Verilog语言的开平方根算法实现
txt
0B
2019-09-05 04:18
verilog编写基于FPGA示波器核心实现.rar
verilog编写基于FPGA的示波器核心实现。有需要的童鞋可以下载看看,没有电路原理图,只有程序源代码。
RAR
0B
2020-05-30 09:44
基于FPGA使用Verilog HDL实现DDS系统
基于FPGA使用Verilog HDL实现的DDS系统:module DDS; module DDS_tb; sine16_2048.mif
ZIP
211.06MB
2020-12-13 01:54
Verilog实现基于FPGA通用分频.pdf
用Verilog 实现基于FPGA 的通用分频.pdf,实用 ;在复杂数字逻辑电路设计中,经常会用到多个不同的时钟信号。介绍一种通用的分频器,可实现2~256之间的任意奇数、偶数、半整数分频。首先简要
PDF
141KB
2021-04-16 17:13
fpga实现VGA Verilog
vga的fpga实现,用verilog hdl 写得,能够在开发板上运行,非常适用
RAR
0B
2019-04-11 12:55
LCD1602动态显示
单片机879C52控制的LCD1602动态显示程序
ZIP
16KB
2020-08-16 07:13
基于FPGAVerilog语言LCD1602时钟显示和汉字显示.zip
本例程是基于 FPGA 和 OpenGL 的 LCD 1602 的时钟显示和汉字显示,需要用到取模软件。个人使用 8x8 led 点矩阵字体。8x8LED 点矩阵字体软件: https://pan.b
ZIP
0B
2019-06-21 13:19