简化的cpu用verilog语言

上传:天之行 浏览: 54 推荐: 0 文件:DOC 大小:810KB 上传时间:2019-01-13 08:21:05 版权申诉
讲述了如何用verilog语言编写cpu的加、乘、转移、跳转等指令。
上传资源
用户评论
相关推荐
Verilog实现CPU
CPU设计:用verilog实现cpu的设计,自己动手实现哦,感觉不错!
ZIP
0B
2020-05-15 08:39
Verilog语言编写8位简易CPU
这是一个非常简单的8位CPU,完成的功能基于《计算机速成课》7/8集,包括add、load、store、sub、jump、jump_neg、halt指令。
ZIP
2KB
2020-09-20 19:18
verilog语言简单CPU
verilog编写的一个简单的CPU,可以实现加减乘除等指令,对于获取设计CPU的经验是很有帮助的!
ZIP
0B
2019-03-18 11:31
cpu模拟verilog语言源码
程序是有硬件语言verilog编写,基本实现cpu的几大主功能模块。方便读者学习。
RAR
0B
2020-05-17 03:02
verilog简化RISC CPU设计夏宇闻老师书上
已经完成调试,在Quartus13.1上综合和仿真无误,波形正确。改了点复位和时钟gen的时钟触发沿。
RAR
355KB
2020-09-20 21:46
基于verilog语言mipsCPU模型
一个带有miniSys指令集的CPU核与若干接口部件的SOC芯片,项目开发主要使用的是QUARTUS软件和verilog语言。
RAR
0B
2019-01-05 23:57
verilog语言描述多周期cpu
用verilog语言描述支持支持50条mips指令的流水线型cpu
RAR
0B
2019-07-29 19:18
verilog CPU
用verilog写的CPU和夏宇闻书上的源码一哈子
RAR
0B
2019-06-05 10:02
Verilog语言编写流水线CPU
组成原理实验课的内容 用Verilog语言写的流水线CPU,五级流水
RAR
0B
2019-03-04 23:05
基于verilog语言8位CPU设计
这是一个简单的8位CPU设计,基于verilogHDL语言,在一个模版上进行修改得到的版本,适合于初学者学习使用
RAR
0B
2019-07-07 21:35
基于verilogCPU
基于verilog的cpu实验,单周期,可以实现7条基本mips指令
RAR
0B
2019-06-05 10:02
cpuverilog描述
cpu的verilog描述,可以用modelsim模拟出结果波形的源代码。。。。。
RAR
0B
2020-05-14 16:49
verilog语言编写MIPS
用verilog语言编写的MIPS,实现了5级流水线和跳转预测。
RAR
0B
2019-07-12 18:59
Verilog HDL设计MIPS32位CPU
这是一个学生用VerilogHDL设计的一个完整的MIPSCPU,结构清晰,设计思想非常专业,具有较高的学习参考价值。
RAR
0B
2019-07-15 00:11
Verilog语言编写ALU
参数有:AluOp,OperandA,OperandB,Zero,Overflow,Less,Result有二级译码
NONE
0B
2019-09-18 00:50