用Verilog实现CPU

上传:weixin_17959 浏览: 19 推荐: 0 文件:ZIP 大小:332.8KB 上传时间:2020-05-15 08:39:44 版权申诉
CPU设计: 用verilog实现cpu的设计,自己动手实现哦,感觉不错!
上传资源
用户评论

liangjunwfo 2020-05-15 08:39:44

东西很全,就是有重复的部分。

相关推荐
简单CPU verilog实现
用verilog实现的微程序型的简单CPU源代码,严格按照计算机组织与结构中CPU结构的设计,已测试可以运行。
ZIP
0B
2020-06-02 10:43
简化的cpuverilog语言
讲述了如何用verilog语言编写cpu的加、乘、转移、跳转等指令。
DOC
0B
2019-01-13 08:21
verilog实现16位cpu
用verilog实现16位cpu,8位存储器,能进行加减乘除和逻辑运算,支持栈,支持函数调用,跳转功能等
RAR
0B
2018-12-08 09:03
verilog CPU
用verilog写的CPU和夏宇闻书上的源码一哈子
RAR
0B
2019-06-05 10:02
8位cpuverilog实现
8 位cpu的verilog实现 verilog代码
RAR
0B
2019-01-08 09:08
单周期CPUVerilog实现
单周期CPU,实现了lw,sw,add,sub,slt,jmp指令
7Z
0B
2019-01-17 04:12
cpu精简指令verilog实现
verilog实现cpu流水线执行模式,可实现部分精简cpu指令,用于nexy3板实现
ZIP
0B
2020-05-14 16:49
RISC_CPU工程verilog实现
基于FPGA的16位RISC_CPU设计__源自曹晓亮的博客
RAR
0B
2019-09-20 07:05
cordicverilog实现
该程序用verilog语言,实现cordic,可以求出cos,sin
TXT
0B
2019-01-13 00:20
基于MIPS的CPU设计Verilog实现
基于MIPS的CPU设计,Verilog实现。文档1
PDF
0B
2019-03-14 16:28
单周期CPU设计与Verilog实现
单周期的整个项目,在电脑上安装vivado即可添加项目,我个人使用的是15版的。另外需要看波形图的,点击仿真,调节相关参数即可
RAR
0B
2019-05-17 01:45
32位mips CPU verilog代码实现
32位mipsCPUverilog代码实现
RAR
0B
2019-05-27 23:19
verilog实现的流水线CPU
通过verilog语言实现的流水线CPU的源文件、工程文件,已通过仿真和下载验证。
ZIP
0B
2020-05-14 16:50
Verilog实现的RISC CPU存储程序
根据《Verilog HDL高级数字设计》,该电路包含处理器(数据通路)、控制器和存储器等三个功能单元。存储器中存放着程序指令及数据,CPU在同步进行指令的读取、译码和执行过程中对ALU中的数据进行操
rar
7.22MB
2023-04-22 07:31
Verilog语言编写的8位简易CPU
这是一个非常简单的8位CPU,完成的功能基于《计算机速成课》7/8集,包括add、load、store、sub、jump、jump_neg、halt指令。
ZIP
2KB
2020-09-20 19:18