正弦信号发生器 产生正弦波

上传:fenger8215194 浏览: 57 推荐: 0 文件:DOC 大小:61KB 上传时间:2018-12-07 13:33:41 版权申诉
简易示波器简易示波器简易示波器简易示波器简易示波器简易示波器简易示波器
上传资源
用户评论

wangliangfei 2018-12-07 13:33:41

来评论的迟了,很好的应用文件,解决了我很大的问题,十分感谢楼主分享。

相关推荐
正弦波设计正弦波信号发生器
本系统由FPGA、单片机控制模块、键盘、LCD液晶显示屏、DAC输出电路和末级放大电路构成。仅用单片FPGA就实现了直接数字频率合成技术(DDS),产生稳幅正弦波,并在数字域实现了AM、FM、ASK、
DOC
0B
2019-06-03 18:21
正弦波信号产生电路
单的介绍了模拟电路中的非正弦波信号产生电路
PDF
509KB
2020-08-22 03:19
正弦波信号发生器制作
正弦波信号发生器制作
PPT
0B
2019-01-04 10:03
正弦波信号发生器电路
本文给大家分享了一个正弦波信号发生器电路。
PDF
34KB
2020-08-12 16:33
正弦波方波信号发生器
正弦波 方波信号发生器 的原理图 以及PCB制图 包括了整个系统的设计
DDB
1.56MB
2020-12-15 09:55
产生正弦波
可产生正弦波的Verilog语言编写的程序,非常好的东西
TXT
0B
2020-06-08 12:19
正弦波产生
自主产生spwm
EXE
0B
2020-06-06 19:02
信号发生器产生正弦波三角波以及方波
利用单片机产生正弦波,三角波,方波,并且输出幅度连续可调
RAR
278KB
2021-04-26 14:03
基于DDS正弦波信号发生器
采用基于 DSP 代码和 IP 核的 ROM 模块存储波形,实现了基于 FPGA 的正弦波信号输出和波形仿真。
RAR
0B
2019-06-21 10:09
正弦波信号发生器verilog代码
完整的正弦信号发生器verilog程序代码,仿真已通过
zip
0B
2019-07-06 09:15
veirlog编写正弦波信号发生器
基于quartus平台用verilog生成正弦波信号,包括rom的生成,
DOC
61KB
2020-09-20 15:31
正弦波信号发生器的设计
电子设计大赛的题目相关资料,相信会有所帮助
DOC
170KB
2020-08-20 09:10
低频信号发生器正弦波
(2) 能产生方波、三角波和脉冲。 (3) 产生的各种信号,要能改变其频率、和幅值。 (4) 可以产生以上三种信号波形的叠加(仅限于其频率相同)。 (5) 频率、幅值可以通过键盘设定。 (6) 在输出
RAR
3.29MB
2020-09-24 23:26
PC声卡正弦波信号发生器
PC声卡正弦波信号发生器,用电脑声卡输出 1Hz-20KHz的正弦波频率。 含源代码。
zip
0B
2018-12-20 10:40
PWM产生正弦波
本资源为一个对正弦波生成的方法,代码简洁,对新手有一定的参考价值
RAR
0B
2019-02-27 17:21